Publications

Download BibTeX.

2019
Perceptual Compression for Video Storage and Processing Systems.
Amrita Mazumdar, Brandon Haynes, Magda Balazinska, Luis Ceze, Alvin Cheung, and Mark Oskin.
Proceedings of the ACM Symposium on Cloud Computing (SoCC '19).
Best Poster Award!

Visual Road: A Video Data Management Benchmark.
Brandon Haynes, Amrita Mazumdar, Magdalena Balazinska, Luis Ceze, and Alvin Cheung.
Proceedings of the 2019 International Conference on Management of Data (SIGMOD '19).
Synthesizing number generators for stochastic computing using mixed integer programming.
Vincent T Lee, Samuel Archibald Elliot, Armin Alaghi, and Luis Ceze.
CoRR.
2018
Architecture Considerations for Stochastic Computing Accelerators.
V. T. Lee, A. Alaghi, R. Pamula, V. S. Sathe, L. Ceze, and M. Oskin.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Automating Generation of Low Precision Deep Learning Operators.
Meghan Cowan, Thierry Moreau, Tianqi Chen, and Luis Ceze.
CoRR.
Parameter Hub: A Rack-Scale Parameter Server for Distributed Deep Neural Network Training.
Liang Luo, Jacob Nelson, Luis Ceze, Amar Phanishayee, and Arvind Krishnamurthy.
Proceedings of the ACM Symposium on Cloud Computing (SoCC '18).
Stochastic Synthesis for Stochastic Computing.
Vincent T. Lee, Armin Alaghi, Luis Ceze, and Mark Oskin.
CoRR.
LightDB: A DBMS for Virtual Reality Video.
Brandon Haynes, Amrita Mazumdar, Armin Alaghi, Magdalena Balazinska, Luis Ceze, and Alvin Cheung.
Proc. VLDB Endow..
Application Codesign of Near-Data Processing for Similarity Search.
V. T. {Lee}, A. {Mazumdar}, C. C. {del Mundo}, A. {Alaghi}, L. {Ceze}, and M. {Oskin}.
2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS).
A Taxonomy of General Purpose Approximate Computing Techniques.
T. {Moreau}, J. {San Miguel}, M. {Wyse}, J. {Bornholt}, A. {Alaghi}, L. {Ceze}, N. {Enright Jerger}, and A. {Sampson}.
IEEE Embedded Systems Letters.
Iterative Search for Reconfigurable Accelerator Blocks With a Compiler in the Loop.
Max Willsey, Vincent T Lee, Alvin Cheung, Rastislav Bod{\'\i}k, and Luis Ceze.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
2017
Exploiting Quality-energy Tradeoffs with Arbitrary Quantization: Special Session Paper.
Thierry Moreau, Felipe Augusto, Patrick Howe, Armin Alaghi, and Luis Ceze.
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion (CODES '17).
Exploring computation-communication tradeoffs in camera systems.
Amrita Mazumdar, Thiery Moreau, Sung Kim, Meghan Cowan, Armin Alaghi, Luis Ceze, Mark Oskin, and Visvesh Sathe.
IEEE International Symposium on Workload Characterization (IISWC).
PDF Customizing Progressive JPEG for Efficient Image Storage.
Eddie Yan, Kaiyuan Zhang, Xi Wang, Karin Strauss, and Luis Ceze.
USENIX Workshop on Hot Topics in Storage and File Systems (HotStorage).
PDF A Hardware-Friendly Bilateral Solver for Real-Time Virtual Reality Video.
Amrita Mazumdar, Armin Alaghi, Jonathan T. Barron, David Gallup, Luis Ceze, Mark Oskin, and Steven M. Seitz.
High-Performance Graphics (HPG).
PDF Solver Aided Reverse Engineering of Architectural Features.
Bill Zorn, Dan Grossman, and Luis Ceze.
Workshop on Duplicating, Deconstructing and Debunking (WDDD w/ ISCA).
PDF Similarity Search on Automata Processors.
Vincent T. Lee, Justin Kotalik, Carlo C. Mundo, Armin Alaghi, Luis Ceze, and Mark Oskin.
IEEE International Parallel & Distributed Processing Symposium (IPDPS).
Profiling a GPU database implementation: a holistic view of GPU resource utilization on TPC-H queries.
Emily Furst, Mark Oskin, and Bill Howe.
International Workshop on Data Management on New Hardware (DAMON w/ SIGMOD).
VisualCloud Demonstration: A DBMS for Virtual Reality.
Brandon Haynes, Artem Minyaylov, Magdalena Balazinska, Luis Ceze, and Alvin Cheung.
ACM International Conference on Management of Data (SIGMOD).
PDF Augmenting Interpersonal Communication through Connected Light.
Margaret E. Morris, Douglas M. Carmean, Artem Minyaylov, and Luis Ceze.
ACM Conference on Human Factors in Computing Systems (CHI) Extended Abstracts.
PDF Approximate Storage of Compressed and Encrypted Videos.
Djordje Jevdjic, Karin Strauss, Luis Ceze, and Henrique S. Malvar.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
PDF IncBricks: Toward In-Network Computation with an In-Network Cache.
Ming Liu, Liang Luo, Jacob Nelson, Luis Ceze, Arvind Krishnamurthy, and Kishore Atreya.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
PDF Energy-Efficient Hybrid Stochastic-Binary Neural Networks for Near-Sensor Computing.
Vincent T. Lee, Armin Alaghi, John P. Hayes, Visvesh Sathe, and Luis Ceze.
Design, Automation & Test in Europe (DATE).
2016
PDF Disciplined Inconsistency with Consistency Types.
Brandon Holt, James Bornholt, Irene Zhang, Dan Ports, Mark Oskin, and Luis Ceze.
ACM Symposium on Cloud Computing (SoCC).
PDF An evaluation of contemporary heterogeneous computing platforms for data intensive applications.
Vincent T. Lee, Carlo C. Mundo, Eddie Yan, Armin Alaghi, Mark Oskin, and Luis Ceze.
Workshop on Efficient Data Center Systems (EDCS w/ ISCA).
PDF Specifying and Checking File System Crash-Consistency Models.
James Bornholt, Antoine Kaufmann, Jialin Li, Arvind Krishnamurthy, Emina Torlak, and Xi Wang.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
PDF A DNA-Based Archival Storage System.
James Bornholt, Randolph Lopez, Douglas M. Carmean, Luis Ceze, Georg Seelig, and Karin Strauss.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
PDF High-Density Image Storage Using Approximate Memory Cells.
Qing Guo, Karin Strauss, Luis Ceze, and Henrique Malvar.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
PDF Optimizing Synthesis with Metasketches.
James Bornholt, Emina Torlak, Dan Grossman, and Luis Ceze.
Symposium on Principles of Programming Languages (POPL).
2015
PDF Probability Type Inference for Flexible Approximate Programming.
Brett Boston, Adrian Sampson, Dan Grossman, and Luis Ceze.
OOPSLA.
PDF Latency-Tolerant Software Distributed Shared Memory.
Jacob Nelson, Brandon Holt, Brandon Myers, Preston Brigg, Luis Ceze, Simon Kahan, and Mark Oskin.
2015 USENIX Annual Technical Conference (USENIX ATC 15).
PDF Approximate Program Synthesis.
James Bornholt, Emina Torlak, Luis Ceze, and Dan Grossman.
Workshop on Approximate Computing Across the Stack (WAX w/ PLDI).
PDF Hardware-Software Co-Design: Not Just a Cliche.
Adrian Sampson, James Bornholt, and Luis Ceze.
Summit on Advances in Programming Languages (SNAPL).
Approximate Computing: Making Mobile Systems More Efficient.
T. Moreau, A. Sampson, and L. Ceze.
Pervasive Computing, IEEE.
Claret: Using Data Types for Highly Concurrent Distributed Transactions.
Brandon Holt, Irene Zhang, Dan Ports, Mark Oskin, and Luis Ceze.
Workshop on Principles and Practice of Consistency (PaPoC'15 w/ EuroSys).
PDF Monitoring and Debugging the Quality of Results in Approximate Programs.
Michael Ringenburg, Adrian Sampson, Isaac Ackerman, Luis Ceze, and Dan Grossman.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
PDF Data Provenance Tracking for Concurrent Programs.
Brandon Lucia and Luis Ceze.
International Symposium on Code Generation and Optimization (CGO).
PDF SNNAP: Approximate Computing on Programmable SoCs via Neural Acceleration.
Thierry Moreau, Mark Wyse, Jacob Nelson, Adrian Sampson, Hadi Esmaeilzadeh, Luis Ceze, and Mark Oskin.
IEEE Symp. on High Performance Computer Architecture (HPCA).
2014
PDF Compiling Efficient Query Plans for Distributed Shared Memory.
Brandon Myers, Daniel Halperin, Jacob Nelson, Mark Oskin, Luis Ceze, and Bill Howe.
Technical Report UW-CSE-14-10-01, University of Washington.
PDF Alembic: Automatic Locality Extraction via Migration.
Brandon Holt, Preston Briggs, Luis Ceze, and Mark Oskin.
SPLASH-OOPSLA.
PDF Expressing and Verifying Probabilistic Assertions.
Adrian Sampson, Pavel Panchekha, Todd Mytkowicz, Kathryn McKinley, Dan Grossman, and Luis Ceze.
Conference on Programming Language Design and Implementation (PLDI).
PDF Nonvolatile Memory is a Broken Time Machine.
Benjamin Ransford and Brandon Lucia.
ACM SIGPLAN Workshop on Memory Systems Performance and Correctness (MSPC w/ PLDI).
PDF Low-Level Detection of Language-Level Data Races with LARD.
Benjamin P. Wood, Luis Ceze, and Dan Grossman.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
PDF Mercury: An Integrated, 3D-Stacked Server Design for Increasing Physical Density of Key-Value Stores.
Anthony Gutierrez, Michael Cieslak, Ronald G. Dreslinski, Luis Ceze, and Trevor Mudge.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
PDF Grappa: A Latency-Tolerant Runtime for Large-Scale Irregular Applications.
Jacob Nelson, Holt, Brandon Myers, Preston Briggs, Luis Ceze, Simon Kahan, and Mark Oskin.
Technical Report UW-CSE-14-02-01, University of Washington.
2013
PDF Approximate Storage in Solid-State Memories.
Adrian Sampson, Jacob Nelson, Karin Strauss, and Luis Ceze.
International Symposium on Microarchitecture (MICRO).
EnerJ, the Language of Good-Enough Computing.
Adrian Sampson, Luis Ceze, and Dan Grossman.
IEEE Spectrum Feature Article.
PDF Input-Covering Schedules for Multithreaded Programs.
Tom Bergan, Luis Ceze, and Dan Grossman.
SPLASH-OOPSLA.
PDF Flat Combining Synchronized Global Data Structures.
Brandon Holt, Jacob Nelson, Brandon Myers, Preston Briggs, Luis Ceze, Simon Kahan, and Mark Oskin.
International Conference on PGAS Programming Models (PGAS).
PDF Compiled Plans for In-Memory Path-Counting Queries.
Brandon Myers, Jeremy Hyrkas, Daniel Halperin, and Bill Howe.
International Workshop on In-Memory Data Management and Analytics (IMDM w/ VLDB).
PDF DNA-based Molecular Architecture with Spatially Localized Components.
Richard Muscat, Karin Strauss, Luis Ceze, and Georg Seelig.
International Symposium on Computer Architecture (ISCA).
Pomace: A Grappa for Non-Volatile Memory.
Jacob Nelson, Brandon Holt, Brandon Myers, Preston Briggs, Luis Ceze, Simon Kahan, and Mark Oskin.
Non-Volatile Memories Workshop (NVMW).
PDF DDOS: Taming Nondeterminism in Distributed Systems.
Nicholas Hunt, Tom Bergan, Luis Ceze, and Steven Gribble.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
PDF Cooperative Empirical Failure Avoidance for Multithreaded Programs.
Brandon Lucia and Luis Ceze.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
PDF Input-Covering Schedules for Multithreaded Programs.
Tom Bergan, Dan Grossman, and Luis Ceze.
Workshop on Determinism and Correctness in Parallel Programming w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WoDet w/ ASPLOS).
2012
PDF Neural Acceleration for General-Purpose Approximate Programs.
Hadi Esmaeilzadeh, Adrian Sampson, Luis Ceze, and Doug Burger.
International Symposium on Microarchitecture (MICRO).
Selected for IEEE Micro Top Picks 2012.

PDF Automatic Discovery of Performance and Energy Pitfalls in HTML and CSS.
Adrian Sampson, Calin Cascaval, Luis Ceze, Pablo Montesinos, and Dario Suarez Gracia.
International Symposium on Workload Characterization (IISWC).
PDF IFRit: Interference-Free Regions for Dynamic Data-Race Detection.
Laura Effinger-Dean, Brandon Lucia, Luis Ceze, Dan Grossman, and Hans-J. Boehm.
SPLASH-OOPSLA.
PDF Do we need a crystal ball for task migration?.
Brandon Myers and Brandon Holt.
USENIX Hot Topics in Parallelism (HotPar).
PDF Addressing Dark Silicon Challenges with Disciplined Approximate Computing.
Hadi Esmaeilzadeh, Adrian Sampson, Michael Ringenburg, Luis Ceze, Dan Grossman, and Doug Burger.
Dark Silicon Workshop w/ International Symposium on Computer Architecture (DaSi w/ ASPLOS).
PDF Towards Neural Acceleration for General-Purpose Approximate Computing.
Hadi Esmaeilzadeh, Adrian Sampson, Luis Ceze, and Doug Burger.
Workshop on Energy Efficient Design w/ International Symposium on Computer Architecture (WEED w/ ISCA).
PDF RADISH: Always-On Sound and Complete RAce Detection In Software and Hardware.
Joseph Devietti, Benjamin Wood, Karin Strauss, Luis Ceze, Shaz Qadeer, and Dan Grossman.
International Symposium on Computer Architecture (ISCA).
PDF Automatic Empirical Failure Avoidance for Concurrent Software.
Brandon Lucia and Luis Ceze.
Workshop on Determinism and Correctness in Parallel Programming w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WoDet w/ ASPLOS).
PDF The Case For Merging Execution- and Language-level Determinism with MELD.
Joseph Devietti, Luis Ceze, and Dan Grossman.
Workshop on Determinism and Correctness in Parallel Programming w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WoDet w/ ASPLOS).
PDF Architecture Support for Disciplined Approximate Programming.
Hadi Esmaeilzadeh, Adrian Sampson, Luis Ceze, and Doug Burger.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
2011
Accelerating Data Race Detection with Minimal Hardware Support.
Rodrigo Gonzalez-Alberquilla, Karin Strauss, Luis Pinuel, and Luis Ceze.
EuroPar.
PDF Data-Race Exceptions Have Benefits Beyond the Memory Model.
Benjamin Wood, Luis Ceze, and Dan Grossman.
Workshop on Memory System Performance and Correctness w/ Conference on Programming Language Design and Implementation (MSPC w/ PLDI).
On the Impact of Memory Models on Software Reliability in Multiprocessors.
Laura Effinger-Dean, Alexander Jaffe, Thomas Moscibroda, Karin Strauss, and Luis Ceze.
Symposium on Principles of Distributed Computing (PODC)..
PDF Crunching Large Graphs with Commodity Processors.
Jacob Nelson, Brandon Myers, A.H. Hunter, Preston Briggs, Dan Grossman, Mark Oskin, Carl Ebeling, Simon Kahan, and Luis Ceze.
USENIX Hot Topics on Parallelism (HotPar).
PDF EnerJ: Approximate Data Types for Safe and General Low-Power Computation.
Adrian Sampson, Werner Dietl, Emily Fortuna, Danushen Gnanapragasam, Luis Ceze, and Dan Grossman.
Conference on Programming Language Design and Implementation (PLDI).
PDF Isolating and Understanding Concurrency Errors Using Reconstructed Execution Fragments.
Brandon Lucia, Benjamin Wood, and Luis Ceze.
Conference on Programming Language Design and Implementation (PLDI).
PDF Operating System Implications of Fast, Cheap, Non-Volatile Memory.
Katelin Bailey, Luis Ceze, Steven D. Gribble, and Henry M. Levy.
USENIX Hot Topics on Operating Systems (HotOS).
PDF Dense Approximate Storage in Phase-Change Memory.
Jacob Nelson, Adrian Sampson, and Luis Ceze.
Wild and Crazy Ideas w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WACI w/ ASPLOS).
PDF The Deterministic Execution Hammer: How Well Does it Actually Pound Nails?.
Tom Bergan, Joseph Devietti, Nicholas Hunt, and Luis Ceze.
Workshop on Determinism and Correctness in Parallel Programming w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WoDet w/ ASPLOS).
PDF RCDC: A Relaxed Consistency Deterministic Computer.
Joseph Devietti, Jacob Nelson, Tom Bergan, Luis Ceze, and Dan Grossman.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
Characterizing the Performance and Energy Efficiency of Lock-Free Data Structures.
Nicholas Hunt, Paramjit Singh Sandhu, and Luis Ceze.
Workshop on Interaction between Compilers and Computer Architectures w/ International Symposium on High-Performance Computer Architecture (INTERACT w/ HPCA).
PDF Checked Load: Architectural Support for JavaScript Type-Checking on Mobile Processors.
Owen Anderson, Emily Fortuna, Luis Ceze, and Susan Eggers.
International Symposium on High-Performance Computer Architecture (HPCA).
PDF System Introspection with Hardware Watchmachines.
Nicholas Hunt, Brandon Lucia, and Luis Ceze.
Fun Ideas and Thoughts w/ Conference on Programming Language Design and Implementation (PLDI FIT).
2010
PDF A Limit Study of JavaScript Parallelism.
Emily Fortuna, Owen Anderson, Luis Ceze, and Susan Eggers.
International Symposium on Workload Characterization (IISWC).
PDF Deterministic Process Groups in dOS.
Tom Bergan, Nicholas Hunt, Luis Ceze, and Steve Gribble.
Symposium on Operating Systems Design and Implementation (OSDI).
Composable Specifications for Structured Shared-Memory Communication.
Benjamin Wood, Adrian Sampson, Luis Ceze, and Dan Grossman.
SPLASH-OOPSLA.
PDF Conflict Exceptions: Providing Simple Concurrent Language Semantics with Precise Hardware Exceptions for Data Races.
Brandon Lucia, Luis Ceze, Karin Strauss, Shaz Qadeer, and Hans-J. Boehm.
International Symposium on Computer Architecture (ISCA).
PDF ColorSafe: Architectural Support for Debugging and Dynamically Avoiding Multi-variable Atomicity Violations.
Brandon Lucia, Luis Ceze, and Karin Strauss.
International Symposium on Computer Architecture (ISCA).
PDF Lock Prediction.
Brandon Lucia, Joseph Devietti, Tom Bergan, Luis Ceze, and Dan Grossman.
USENIX Hot Topics on Parallelism (HotPar).
PDF CoreDet: A Compiler and Runtime System for Deterministic Multithreaded Execution.
Tom Bergan, Owen Anderson, Joseph Devietti, Luis Ceze, and Dan Grossman.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
PDF DMP: Deterministic Shared Memory Multiprocessing.
Joseph Devietti, Brandon Lucia, Luis Ceze, and Mark Oskin.
IEEE Micro Top Picks in Computer Architecture.
Shared-Memory Multiprocessors.
Luis Ceze.
Chapter in Encyclopedia of Parallel Computing, Editor: David Padua.
2009
PDF Finding Concurrency Bugs with Context-Aware Communication Graphs.
Brandon Lucia and Luis Ceze.
International Symposium on Microarchitecture (MICRO).
PDF The Bulk Multicore Architecture for Improved Programmability.
Josep Torrellas, Luis Ceze, James Tuck, Calin Cascaval, Pablo Montesinos, Wonsun Ahn, and Milos Prvulovic.
Communication of the ACM.
PDF Concurrency Discovery for Very Large Windows of Execution.
Jacob Nelson and Luis Ceze.
Workshop on Parallel Execution of Sequential Programs on Multi-core Architectures w/ International Symposium on Computer Architecture (PESPMA w/ ISCA).
Two Hardware-based Approaches for Deterministic Multiprocessor Replay.
Derek R. Hower, Pablo Montesinos, Luis Ceze, Mark D. Hill, and Josep Torrellas.
Research Highlights, Communication of the ACM.
PDF The Case for System Support for Concurrency Exceptions.
Luis Ceze, Joseph Devietti, Brandon Lucia, and Shaz Qadeer.
USENIX Hot Topics on Parallelism (HotPar).
PDF DMP: Deterministic Shared Memory Multiprocessing.
Joseph Devietti, Brandon Lucia, Luis Ceze, and Mark Oskin.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
Selected for IEEE Micro Top Picks 2009.

PDF Self-Powered Processors.
Andrew Putnam, Luis Ceze, and Bryna Hazelton.
Wild and Crazy Ideas w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WACI w/ ASPLOS).
Atom-Aid: Detecting and Surviving Atomicity Violations.
Brandon Lucia, Joseph Devietti, Karin Strauss, and Luis Ceze.
IEEE Micro Top Picks in Computer Architecture.
SoftSig: Software-Exposed Hardware Signatures for Memory Disambiguation.
James Tuck, Wonsun Ahn, Luis Ceze, Josep Torrellas, and Luis Ceze.
IEEE Micro Top Picks in Computer Architecture.
PDF Programming and Debugging Shared Memory Programs with Data Coloring.
Luis Ceze, Christoph Praun, Calin Cascaval, Pablo Montesinos, and Josep Torrellas.
Workshop on Compilers for Parallel Computing (CPC).
PDF Using Checkpoint-Assisted Value Prediction to Hide L2 Misses.
Luis Ceze, Karin Strauss, James Tuck, Jose Renau, and Josep Torrellas.
ACM Transactions on Architecture and Code Optimization (TACO).
2008
PDF Atom-Aid: Detecting and Surviving Atomicity Violations.
Brandon Lucia, Joseph Devietti, Karin Strauss, and Luis Ceze.
International Symposium on Computer Architecture (ISCA).
Selected for IEEE Micro Top Picks 2008.

DeLorean: Recording and Deterministically Replaying Shared-Memory Multiprocessor Execution Efficiently.
Pablo Montesinos, Luis Ceze, and Josep Torrellas.
International Symposium on Computer Architecture (ISCA).
PDF Explicitly Parallel Programming with Shared-Memory is Insane: At Least Make it Deterministic!.
Joseph Devietti, Brandon Lucia, Mark Oskin, and Luis Ceze.
Workshop on Software and Hardware Challenges of Manycore Platforms w/ International Symposium on Computer Architecture (SHCMP w/ ISCA).
SoftSig: Software-Exposed Hardware Signatures for Memory Disambiguation.
James Tuck, Wonsun Ahn, Luis Ceze, and Josep Torrellas.
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
Selected for IEEE Micro Top Picks 2008.

Concurrency Control with Data Coloring.
Luis Ceze, Christoph Praun, Calin Cascaval, Pablo Montesinos, and Josep Torrellas.
Workshop on Memory Systems Performance and Correctness w/ International Conference on Architectural Support for Programming Languages and Operating Systems (MSPC w/ ASPLOS).
2007
PDF BulkSC: Bulk Enforcement of Sequential Consistency.
Luis Ceze, James Tuck, Pablo Montesinos, and Josep Torrellas.
International Symposium on Computer Architecture (ISCA).
PDF Implicit Parallelism with Ordered Transactions.
Christoph Praun, Luis Ceze, and Calin Cascaval.
Principles and Practice of Parallel Programming (PPoPP).
PDF Colorama: Architectural Support for Data-Centric Synchronization.
Luis Ceze, Pablo Montesinos, Christoph Praun, and Josep Torrellas.
International Symposium on High-Performance Computer Architecture (HPCA).
2006
PDF Scalable Cache Miss Handling for High Memory Level Parallelism.
James Tuck, Luis Ceze, and Josep Torrellas.
International Symposium on Microarchitecture (MICRO).
PDF Bulk Disambiguation of Speculative Threads in Multiprocessors.
Luis Ceze, James Tuck, Calin Cascaval, and Josep Torrellas.
International Symposium on Computer Architecture (ISCA).
PDF POSH: A TLS Compiler that Exploits Program Structure.
Wei Liu, James Tuck, Luis Ceze, Wonsun Ahn, Karin Strauss, Jose Renau, and Josep Torrellas.
Principles and Practice of Parallel Programming (PPoPP).
PDF Are We Ready for High Memory-Level Parallelism?.
Luis Ceze, James Tuck, and Josep Torrellas.
Workshop on Memory Performance Issues w/ International Symposium on High-Performance Computer Architecture (WMPI w/HPCA).
Also appears in SIGMICRO Newsletter selection from WMPI-2006.

PDF Energy-Efficient Thread-Level Speculation on a CMP.
Jose Renau, Karin Strauss, Luis Ceze, Smruti Sarangi, James Tuck, Wei Liu, and Josep Torrellas.
IEEE Micro Top Picks in Computer Architecture.
2005
PDF Thread-Level Speculation on a CMP Can Be Energy Efficient.
Jose Renau, Karin Strauss, Luis Ceze, Wei Liu, Smruti Sarangi, James Tuck, and Josep Torrellas.
International Conference on Supercomputing (ICS).
Selected for IEEE Micro Top Picks 2005.

PDF Tasking with Out-of-Order Spawn in TLS Chip Multiprocessors: Microarchitecture and Compilation.
Jose Renau, James Tuck, Wei Liu, Luis Ceze, Karin Strauss, and Josep Torrellas.
International Conference on Supercomputing (ICS).
Selected for IEEE Micro Top Picks 2005.

2004
PDF CAVA: Hiding L2 Misses with Checkpoint-Assisted Value Prediction.
Luis Ceze, Karin Strauss, James Tuck, Jose Renau, and Josep Torrellas.
IEEE Computer Architecture Letters (CAL).
2003
An Overview Of The Blue Gene/L System Software Organization.
George Almasi, Ralph Bellofatto, Jose Brunheroto, Calin Cascaval, Jose G. Castanos, Paul Crumley, C. Christopher Erway, Derek Lieber, Xavier Martorell, Jose E. Moreira, Ramendra K. Sahoo, Alda Sanomiya, Luis Ceze, and Karin Strauss.
Parallel Processing Letters.
PDF An Overview Of The Blue Gene/L System Software Organization.
George Almasi, Ralph Bellofatto, Jose Brunheroto, Calin Cascaval, Jose G. Castanos, Luis Ceze, and others.
International Conference on Parallel and Distributed Computing (Euro-Par).
PDF Full Circle: Simulating Linux Clusters on Linux Clusters.
Luis Ceze, Karin Strauss, and others.
LCI International Conference on Linux Clusters (CWCE).
Selected as one of the top 3 papers in the conference.

2002
PDF Blue Gene/L, a system-on-a-chip.
G. Almasi and others.
IEEE International Conference on Cluster Computing (CC).
PDF An Overview of the Blue Gene/L Supercomputer.
N. R. Adiga and others.
IEEE Supercomputing (SC).
PDF Evaluation of a Multithreaded Architecture for Cellular Computing.
Calin Cascaval, Jose G. Castanos, Luis Ceze, Monty Denneau, Manish Gupta, Derek Lieber, Jose E. Moreira, Karin Strauss, and Henry S. Warren Jr.
International Symposium on High-Performance Computer Architecture (HPCA).
PDF Cellular Supercomputing with System-on-a-Chip.
G. Almasi and others.
International Solid State Circuits Conference (ISSCC).
2000
PDF An environment for easy cross synchronization of multimedia Web based material.
I. Stiubiener, L.H. Ceze, K. Strauss, C.B. Margi, R.M. Silveira, and W.V. Ruggiero.
Frontiers in Education.